Home Review on modeling and application of chemical mechanical polishing
Article Open Access

Review on modeling and application of chemical mechanical polishing

  • Gaoyang Zhao , Zhen Wei , Weilei Wang , Daohuan Feng , Aoxue Xu , Weili Liu EMAIL logo and Zhitang Song
Published/Copyright: March 12, 2020
Become an author with De Gruyter Brill

Abstract

With the development of integrated circuit technology, especially after entering the sub-micron process, the reduction of critical dimensions and the realization of high-density devices, the flatness between integrated circuit material layers is becoming more and more critical. Because conventional mechanical polishing methods inevitably produce scratches of the same size as the device in metal or even dielectric layers, resulting in depth of field and focus problems in lithography. The first planarization technique to achieve application is spin on glass (SOG) technology. However, this technology will not only introduce new material layers, but will also fail to achieve the global flattening required by VLSI and ULSI technologies. Moreover, the process instability and uniformity during spin coating do not meet the high flatness requirements of the wafer surface. Also, while some techniques such as reverse etching and glass reflow can achieve submicron level regional planarization. After the critical dimension reaches 0.35 microns (sub-micron process), the above methods cannot meet the requirements of lithography and interconnect fabrication. In the 1980s, IBM first introduced the chemical mechanical polishing (CMP) technology used to manufacture precision optical instruments into its DRAM manufacturing [1]. With the development of technology nodes and critical dimensions, CMP technology has been widely used in the Front End Of Line (FEOL) and Back End Of Line (BEOL) processes [2]. Since the invention of chemical mechanical polishing, scientists have not stopped studying its internal mechanism. From the earliest Preston Formula (1927) to today’s wafer scale, chip scale, polishing pad contact, polishing pad - abrasive - wafer contact and material removal models, there are five different scale models from macro to the micro [3]. Many research methods, such as contact mechanics, multiphase flow kinetics, chemical reaction kinetics, molecular dynamics, etc., have been applied to explain the principles of chemical mechanical polishing to establish models. This paper mainly introduces and summarizes the different models of chemical mechanical polishing technology. The various application scenarios and advantages and dis-advantages of the model are discussed, and the development of modeling technology is introduced.

1 Introduction

CMP is currently the only technology that can achieve global planarization, so it is important today that key dimensions have entered the deep submicron process [4]. The earliest application of chemical mechanical polishing (CMP) technology was the fabrication of ultra-smooth surfaces for precision optical instrument lenses. In the early 1950s, polishing was used to minimize surface damage during the preparation of silicon wafer substrates [5, 6]. Until 1980, because of the increasing requirement for surface flatness in lithography, IBM scientists introduced STI CMP technology into the production of integrated circuits [1, 2, 3, 4]. Then the STI CMP technology replaced the LOCOS technology. At the same time, W (tungsten) polishing technology replaced the anti-etching technology and is widely used in BEOL process technology below 0.35 microns. With the advent of copper polishing in the new century, the 0.13 micron back-end copper manufacturing process became possible. RMG polishing promoted the generation of high-k gate technology after 2007 [7]. It can be seen that the emergence and development of CMP technology has promoted the steady progress of integrated circuit technology and Moore’s Law. However, because people know little about the detailed micro-mechanism of CMP, CMP technology is more of a semi-empirical technique, unable to accurately predict its working process, and more based on large-scale experiments to optimize experimental parameters. Due to the increasing complexity of the types of materials involved in processes below the 45nm node, such as the introduction of low-k materials, ALD barriers, Co, Ru, etc. [8]. With the development and complexity of the CMP process, the principle of the CMP process has been deeply discussed. From the Preston material removal rate formula to determine the relationship between the polishing pressure of the glass and the rate [9] to the correction of the Preston formula in different material applications [10, 11], model assumptions for surface properties of polishing pads [12], definition of surface contact patterns [13], and modifications in varying degrees and scales in contact pattern applications. Whether at the wafer or chip scale, or even the polishing particle scale, the molecular and atomic dimensions of the polished particles are in contact with the material. A variety of different models was established to explore the intrinsic mechanism of chemical mechanical planarization. Different scale models have different advantages and disadvantages. Due to different preset conditions, the application is different, and the scale of effective prediction may be different. But the core issues to be solved by these models are based on the following points:

  1. The microscopic mechanism of material removal during polishing;

  2. Factors affecting the removal rate of the material;

  3. Balance and synergy between mechanical and chemical effects during polishing;

  4. Realization of high selection ratio removal rate of various materials during polishing;

  5. The effect of pattern density and shape on the polishing process in the Damascus process;

  6. Prediction, mitigation and elimination of erosion and scratch during polishing;

  7. Prediction of thickness deviation data of polished metal layer/ dielectric layer.

2 CMP model with polishing particles as the main focus

In the chemical mechanical polishing process, there are three main factors involved: (1) slurry (including particles (abrasives) and related chemical additives), (2) polishing pads, and (3) polishing machines. The most direct polishing effect is the friction-chemical process of the abrasive and wafer in the slurry. Therefore, many models are cut in by the characterization and properties of the abrasive, and the nature of the abrasive itself is used as a variable to explore the CMP process.

2.1 Polishing particle size distribution model

For a typical mechanical polishing process, the smaller the polishing abrasive size, the easier it is to achieve a smoother surface. In the mechanical action during the CMP process, the smaller the particle size and hardness of the abrasive, the more uniform the particle size and stress distribution, and the less scratch damage that occurs under the same process conditions. Meanwhile, due to the higher specific surface area of the abrasive having a smaller particle size, it is easier to generate higher potential energy and adsorb the active material on the surface of the abrasive grain, thereby improving the ability to interact with the substance. Therefore, from the perspective of polishing particle size, the researchers proposed a CMP model based on the particle size distribution of polished abrasives [14]. In the model, all polished particles (assumed to be uniform spheres) are considered in the wafer-particle-polishing pad contact based on size and numerical ratio, and the particles (active particles) capable of functioning in the CMP process mainly have the following two requirements: 1) In the gap between the polishing pad and the wafer surface; 2) the particle size is large enough to make contact. After the pressure is applied, the contact portion of the polishing pad will deform by the reaction of the particles. As the pressure increases, the deformation of the polishing pad increases, while also increasing the actual contact area and the number of particles involved in the contact. Until the pressure and indentation stress reach equilibrium, the number of particles participating in the contact tends to be stable, so that the determination of the particle size distribution of the particles participating in the reaction can be achieved. We assume that the particle size follows a normal distribution and then calculate the number of abrasive particles involved in the material removal process based on size. Finally, it is assumed that the material removal rate of a single abrasive particle is linearly related to its size. In combination with the above assumptions, a material distribution removal rate (MRR) based on a normal distribution can be obtained by statistically grinding the particle size distribution.

Figure 1 The contact between wafer and polishing pad
Figure 1

The contact between wafer and polishing pad

Figure 2 Micro-structure of the contact of wafer-abrasive-pad
Figure 2

Micro-structure of the contact of wafer-abrasive-pad

The model assumes that particles of the same particle size have the same polishing rate and do not take into account the chemical effects in the polishing solution, nor do they consider the interaction of the nanoparticles with each other. Different indentation shapes and depths have different effects on material removal. Meanwhile, the surface roughness of the polishing pad and the influence of the stress distribution caused by the roughness factor are also ignored. Therefore, it is a less accurate model when predicting smaller particle size changes and higher precision flatness.

2.2 Semi-empirical model of surface morphology of abrasive particles and polishing pads of different sizes

Based on the shortcomings of the previous model, Chen [15] proposed a semi-empirical model that takes into account the surface topography of different sizes of abrasive grains and polishing pads. The material removal rate (MRR) is different for different regions and different time periods for different real contact areas and abrasive particle sizes. After calculating the average material removal rate according to the contact mechanics analysis, the MRR distribution model is obtained according to different contact stresses and relative velocities (assuming the initial surface topography is a periodic random variation). The silicon dioxide (SiO2) film (1.5um) formed by plasma enhanced chemical vapor deposition (PECVD) on a 200 mm silicon wafer was verified based on different average particle size (13, 22, 61, 118nm) and different positions. The resulting data fits well. However, since the model is a semiempirical model, chemical corrosion and complex surface state changes in chemical mechanical polishing are not considered, and it is directly converted into empirical parameterization, giving up the numerical interpretation of the chemical-mechanical synergy existing therein. Above all it is not a perfect model. If we can make physical models and reasonable mathematical explanations of the variation of empirical parameters, we can deeply explore them to correct the modified model and deepen the understanding of CMP mechanism.

2.3 Abrasive deformation correction model

In the above model, the size of the abrasive particles and the shape of the polishing pad are considered. However, during chemical mechanical polishing, the abrasive particles are not only in elastic contact with the surface of the polishing pad, but also in viscoelastic contact with the surface of the wafer. This will inevitably affect the shape of the abrasive particles. Chen et al. [16] utilized the calculation method to consider the MRR change caused by the deformation and deformation of the abrasive grains caused by the pressure, the material removal rate correction model based on the pressure change is obtained. First, the actual contact area without pressure is obtained according to the surface topography and the distribution of the abrasive grain size. Thereafter, the amount of deformation of the abrasive grains when the polishing pad and the abrasive grains are applied is obtained according to the pressure and the corresponding percentage of the active particles. Finally, during the material removal process, the amount of active particles injected into the substrate material is obtained. Deeply considering the corrected material removal rate formula. This model not only concerns the deformation of the wafer and the polishing pad, but also concerns the deformation of the abrasive grain, and theoretically obtains a more accurate polishing model.

Wang proposed a molecular scale principle model for the influence of abrasive particle shape on polishing rate [17]. The physical basis of the wafer surface material removal mechanism proposed in the model is based on the reduction of surface molecular chemical potential and the breaking of bonding bonds. Based on the introduction of the surface shape parameters of the abrasive particles, the shape factor of the abrasive particles is considered to be in equilibrium with the contact mechanics to correct the material removal rate factor of each abrasive particle.

The above types of models are based on the size of the abrasive particles to calculate the material removal rate by proportional. The model assumes that most of the abrasive particles are spherical or even spherical. By default, particles of the same shape have the same removal rate. It does not take into account the chemical potential, and even the effects of the polishing pad and flow field on the abrasive grain distribution and the surface state of the abrasive particles on the material removal process. Or these models modify the effect of chemical potential on the matrix material as a fixed empirical value. These assumptions limit the universality and application of the model. To some extent, it is still empirical, and even semi-empirical models, which cannot guide and predict the progress of future technological advances.

3 Models of abrasive particle and material surface interaction based on molecular dynamics simulation

Wenjie Zhai and other researchers [18] used the molecular dynamics (MD) method to establish a single diamond abrasive grain to etch the atoms on the silicon carbide surface in the chemical mechanical polishing (CMP) process. The model was used to simulate the effects of diamond abrasive radius, scoring depth and scoring speed on the surface morphology, crystal structure, friction and atomic removal rate of silicon carbide, and the mechanical characterization of amorphous silicon oxide films. The experimental results and simulation results were compared and the causes were analyzed.

It is found that the amorphous transformation occurs locally in the process of mechanical scribe. The increase of the scribe depth will increase the cutting force and cutting temperature, and the atom removal rate will increase. The change of the scribe speed will affect the temperature and The atom removal rate has almost no effect on the cutting force; the increase of the abrasive radius will lead to the increase of cutting force and temperature, and has little effect on the atom removal rate under the same indentation depth; The silicon oxide film can greatly reduce the cutting force, but due to the influence of its structure, the mechanical scribing effect only causes the oxide film to be significantly densified without generating debris. Therefore, there is no significant influence on the friction of the interface.

The molecular dynamics model of a single abrasive particle to surface material helps to understand the microscopic process of material removal during the chemical mechanical polishing. Variables such as the mechanical influence and variation of the abrasive grains that cannot be obtained by the macroscopic process can be achieved, but for the molecular dynamics process, it strongly depends on the action potential function of the particles and the matrix material. The material removal rate of a single abrasive particle is always at a small scale, which is greatly affected by the periodic and aperiodic external fields. It is difficult to obtain a stable potential field after multiple stacking. Although it essentially explains the role of single abrasive particles. As a result, the effect between the particles is distinguished. It is still necessary to combine macroscopic experiments to consider the average material removal rate of particles based on the understanding of molecular scale.

Compared with molecular dynamics calculation methods, nanotechnology and micro-indenter technology can actually manipulate a single abrasive particle to study the friction of different substrates under the AFM technique of the base material, and Its effect on material removal.

To study the principles of aluminum chemical mechanical polishing and the chemical and mechanical effects of material removal rates, the researchers (Yongguang Wang) used AFM nano-indenter to perform nano-scratches, drying, water and hydrogen peroxide in three different environments [19].

Comparing the frictional forces of these three environments, a mathematical model based on Mo theory [20] was proposed to explain the atomic-scale material removal mechanism of aluminum during chemical mechanical polishing.

According to the evolution of the friction coefficient, the deformation of aluminum in the nano-scratch process can be divided into two states. On the one hand, when the load is less than 100 nN, the elastic deformation dominates the scratching process. On the other hand, as the load is further increased, the elastoplastic deformation controls the scratch process. Similar results were observed under dry and water conditions. The Mo model based on the atomic contact concept is used to explain the above-described nanoscale deformation behavior, that is, the friction coefficient and deformation behavior. Interestingly, the viscous slip was observed only under H2O 2 conditions, which is a bond interaction between -OH and Al atoms caused by chemicals compared to dry and water conditions.

For the study of the mechanism of material removal rate on the microscopic scale, the calculation method and the experimental method are mutually corroborated. By means of these two methods, the mechanism model is established by using the existing experimental data, and the experimental phenomenon is predicted by the mechanism model. These two methods prove each other and spiral upwards to reach an in-depth understanding of the theory of chemical mechanical polishing. This model method can go deep into the atomic and molecular scale to observe and predict the atomic level removal mechanism of materials. However, this cannot always be done due to technical constraints. Whether it’s from in-situ scales or to complex systems.

3.1 Model based on surface topography and on-chip graphics density

Since Greenwood and Williamson [14] made the Greenwood-Williamson model for surface roughness measurement and description in 1966, many researchers have studied the contact and mechanical states of the material surface based on the G-Wmodel. Based on the G-Wmodel, Vasilev proposed a chemical mechanical polishing model based on on-chip scale and pattern density [21]. For the ILD and STI CMP processes, the model uses G-W model and hertz contact mechanics theory to analyze and calculate the microscopic contact between the rough surface of the polishing pad and the different pattern density on the sheet. The material removal rate is calculated based on the statistical contact stress. Different contact modes result in different stresses, and different stresses correspond to different material removal rates. The model is based on the G-Wmodel to calculate the polishing pad and the characteristics of the on-chip topography. The parabola fitting is performed according to the height and density of the pattern step, and the surface of the polishing pad is calculated according to the curvature of the fit. The specific method is to approximate the graphical step to a parabolic curve, as shown in Figure 3.

Figure 3 Graphical steps and their fitting curves
Figure 3

Graphical steps and their fitting curves

Because the on-chip pattern has a strong periodicity, this fit is not very complicated with respect to the roughness of the polishing pad. So the contact problem becomes a problem between a non-periodic rough surface and periodic point contact [22]. This point contact is specifically divided into two types, one is the top contact (Up), which is for fitting the peak of the parabola; the other is the bottom contact (Down), which is for the valley of the fitting parabola. Based on these two different contacts, the material removal rate of the original planar state is corrected [23]. After that, the removal rate (RR) for both cases is related to the polishing pad topography and the fitted graphical step curve. Therefore, the curvature radius of the fitted curve is obtained by using the derivative, and the AFM is used to modify the value to obtain the relationship between the topography and the contact, so that the material removal rate formula based on G-W model is derived.

The model uses a fitted curve to characterize the relationship between the pattern steps and the contact to obtain a simplified periodic point hertz contact, which results in a single point of material contact rate based on the stress state. Finally, the contact point is calculated statistically according to the G-W model of the polishing pad, and the integral material removal rate is obtained by integration.

Although this model can effectively count the graph-based CMP MRR, but because the model does not take into account the contact of other points of the graph step, and the model strongly relies on the accurate description of the on-chip graphics, it is not able to be more subtle to predict the graphics.

3.2 Dishing and erosion model based on on-chip scale

Because of the copper interconnect technology and the application of damascene technology in the field of integrated circuits, copper CMP technology is widely used in single Damascus and dual damascene processes to achieve copper interconnect and via formation [24]. Since Copper is difficult to react with acid and is relatively more inert than aluminum, it is necessary to introduce an oxidizing agent and a passivating agent to oxidize copper and balance the oxidation rate of copper to control the influence of chemical action on the polishing process. However, after the introduction of the oxidizing agent and the passivating agent, the control of the polishing end point is unstable, and the over-polishing after the end of polishing and the different over-polishing rate of the metal and the dielectric layer are easily eroded and dished after the dielectric layer (Figure 4).

Figure 4 Dishing and erosion
Figure 4

Dishing and erosion

In order to reduce the occurrence of these two side effect defects, it is necessary to finely control the polishing end point, and the interconnect pattern density is related to the depth of erosion. In order to achieve the flatness of the interconnect and reduce the possibility of failure, Dummy metal fill is applied to the copper during polishing to achieve uniformity of global chip wiring density to reduce chip unevenness. More and more material layers are introduced into the manufacturing process in advanced integrated circuit processes, so the unevenness of each layer of material gradually affects the surface flatness of the subsequent steps. Not only that, the current manufacturing technology of integrated circuit interconnects relies on chemical mechanical polishing processes, which can cause deviations in the longitudinal height of interconnects that dominate the performance of integrated circuits and their inter-layer dielectrics, further leading to interconnect electrical The change in parameters ultimately leads to variations in circuit performance and unpredictability, and a serious drop in circuit yield [25]. Therefore, based on such problems, the chemical mechanical polishing model is necessary to predict the flatness of the material layer and the influence of the RC delay on the interconnect. The precise chemical mechanical polishing model helps to improve the accurate inter-layer thickness deviation. also helps layout design to improve IC yield and performance.

Based on the above questions, Feng Chunyang proposed a model for automatically generating the surface of generalized rough polishing pad based on the G-W model, which is more general than the previous G-W statistical model. The measurement method relative to the G-W model is more random and representative. The specific method is to use a stochastic process method, assuming that the rough surface obeys the Gaussian distribution, and using the spectral expansion method to obtain a one-dimensional surface height highly random function f (x),

f ( x ) = 2 n = 0 N 1 A n cos ( ω n x + ϕ n )

According to the central limit theorem, the upper approximation approaches the Gaussian random process when it tends to infinity. In the above formula, An is the magnitude of the expended spectrum, ωn is the discrete frequency of the expended spectrum, Фn is the phase angle of expended spectrum.

The parameters in the expansion are defined as followed formula:

A n = 2 S ( ω n ) Δ ω , n = 0 , 1 , 2 , 3 , , N 1 ;
ω n = n Δ ω , n = 0 , 1 , 2 , 3 , , N 1 ;
Δ ω = ω N N

And using power spectrum expansion again to obtain a two-dimensional surface height probability density function. Thereby, a random rough surface with universality is obtained. Then, using the conjugate gradient algorithm combined with multi-level multi-summation (MLMS) or FFT matrix vector multiplication acceleration technology, makes a faster solution speed than the traditional method.

The contact mechanics calculation is performed by the new surface topography, and then the chemical mechanical polishing simulation is performed according to the layout, and the material removal rate of different regions is used to evolve the inter-layer thickness deviation and even the dishing and erosion size. This method catches the MIT’s DSH model to calculate the contact area. It is more universal through the random rough polishing pad surface model and has sufficient application prospects. However, it does not show the chemical effects in polishing, but rather as a factor in the mechanical contact model, regardless of the nature of the dielectric layer and metal during the CMP process. In particular, the mechanism of action of different line widths and pattern densities cannot be explained. It can only be based on empirical formulas and data. There can be no foresight of technology.

4 Conclusion

Moore’s Law promotes the advancement of integrated circuits [26]. The application of FinFET, MEMS, SOI and Si, SiGe, graphene, molybdenum disulfide, silicon carbide, gallium nitride and other different device structures, materials and the reduction of critical dimensions have brought out new opportunities and challenges for the establishment of CMP model. Due to various process requirements and the stringent requirements of surface planarization technology, more problems have arisen for the CMP process technology for predicting the thickness deviation between layers. Meanwhile, based on the progress of contact mechanics model and surface roughness characterization, and even the introduction of chemical reaction kinetics, our understanding of chemical mechanical polishing technology is further deepened on the basis of chemical mechanical polishing mechanism. We can propose better prediction models and based on this design with higher stability, smaller deviations and higher removal rates of polishing processes and materials. For the CMP of different materials, or ultra-thin film CMP, multi-layer heterogeneous integrated CMP, even the formation of dozens of integrated circuit structures began to be introduced into integrated circuit manufacturing, while the introduction of EUV and the step-by-step approach to nanoscale processes are getting closer to the limits of precision process technology. Therefore, a series of technologies that help improve the manufacturing yield of integrated circuits, such as layout design and manufacturing process improvement and manufacturability design, have a long time to find a clearer CMP mechanism and model in different application scenarios [27, 28, 29, 30, 31, 32].

Acknowledgement

This research is supported by the National Key Research and Development Program of China (2017YFA0206101, 2017YFB0701703, 2017YFA0206104, 2017YFB0405601, 2018YFB0407500), National Natural Science Foundation of China (61874178, 61874129), Science and Technology Council of Shanghai (17DZ2291300), Shanghai Sailing Program (19YF1456100).

References

[1] Steigerwald J.M., Chemical mechanical polishing: The enabling technology, IEDM, 2008, 1-4.10.1109/IEDM.2008.4796607Search in Google Scholar

[2] Gutmann R.J., Chow T.P., Lakshminarayanan S., Price D.T., Steigerwald J.M., You L. et al., Integration of copper multilevel interconnects with oxide and polymer inter-level dielectrics, Thin Solid Films, 1995, 270, 472-479.10.1016/0040-6090(96)80080-0Search in Google Scholar

[3] Suryadevara B., Advances in Chemical Mechanical Planarization, 2016, Woodhead Publishing.Search in Google Scholar

[4] Fan W., Advanced Modeling of Planarization Processes for Integrated Circuit Fabrication, MIT, 2012, Cambridge.Search in Google Scholar

[5] Bonora A.C., Flex-mount polishing of silicon wafers, Solid State Technol., 1977.Search in Google Scholar

[6] Wolf S., Tauber R.N., Silicon Processing for the VLSI Era, Vol. 1: Process Technology 1st Ed., 1986, Lattice Press.Search in Google Scholar

[7] Bohr M.T., Chau R.S., Ghani T., Mistr K., The high-k solution, IEEE Spectrum., 2007, 44, 29-35.10.1109/MSPEC.2007.4337663Search in Google Scholar

[8] Richard C., Nano Intergrated Circute Manufacturing, 2017, 11, 311.Search in Google Scholar

[9] Cook L., Chemical processes in glass polishing, J. Non-Cryst. Solids, 1990, 120, 152-171.10.1016/0022-3093(90)90200-6Search in Google Scholar

[10] Preston F.W., The theory and design of plate glass polishing machine, J. Soc. Glass Technol., 1927, 11, 214-256.Search in Google Scholar

[11] Grill A., Gates S.M., Ryan T.E., Nguyen S.V., Priyadarshini D., Progress in the development and understanding of advanced low k and ultra-low k dielectrics for very large-scale integrated interconnects-State of the art, Appl. Phys. Rev., 2014, 1, 011306.10.1063/1.4861876Search in Google Scholar

[12] Sun T., Yao B., Warren A., Barmak K., Toney M., Peale R., et al., Surface and grain-boundary scattering in nanometric Cu films, Phys. Rev. B, 2010, 81, 155-454.10.1103/PhysRevB.81.155454Search in Google Scholar

[13] Zantyea P.B., Kumar A., Sikder A.K., Chemical mechanical planarization for microelectronics applications, Mat. Sci. Eng. Res., 2004, 45(3), 89-220.10.1016/j.mser.2004.06.002Search in Google Scholar

[14] Greenwood J.A., Williamson J.B.P., Contact of nominally flat surfaces, Royal Soc. A - Math. Phys., 1966, 295, 300-319.10.1098/rspa.1966.0242Search in Google Scholar

[15] Luo J., Dornfeld D.A., Material removal mechanism in chemical mechanical polishing: theory and modeling, IEEE Trans. Semi-cond. Manuf., 2002, 14, 112-133.10.1109/66.920723Search in Google Scholar

[16] Lee H.S., Jeong H., Dornfeld D., Semi-empirical material removal rate distribution model for SiO2 chemical mechanical polishing (CMP) processes, Prec. Eng., 2013, 37, 483-490.10.1016/j.precisioneng.2012.12.006Search in Google Scholar

[17] Chen X., Zhao Y., Wang Y., Modeling the effects of particle deformation in chemical mechanical polishing, Appl. Surf. Sci., 2012, 258, 8469-8474.10.1016/j.apsusc.2012.04.079Search in Google Scholar

[18] Wang Y., Chen Y., Qi F. et.al., A molecular-scale analytic model to evaluate material removal rate in chemical mechanical planarization considering the abrasive shape, Microelectr. Eng., 2015, 134, 54-59.10.1016/j.mee.2015.02.012Search in Google Scholar

[19] Wenjie Z., Dezhong Y., Molecular Dynamics Simulation of Mechanical Action in Cubic Silicon Carbide CMP Process, Mater. Sci. Technol., 2018, 26.Search in Google Scholar

[20] Wang Y.G., Zhu Y.G., Zhao D., Bia N.D., Nanoscratch of aluminum in dry, water and aqueous H2O2 conditions, Appl. Surf. Sci., 2019, 464, 229-235.10.1016/j.apsusc.2018.09.075Search in Google Scholar

[21] Mo Y., Turner K.T., Szlufarska I., Friction laws at the nanoscale, Nature, 2009, 457, 1116-1119.10.1038/nature07748Search in Google Scholar PubMed

[22] Vasilev B., Bott S., Rzehak R. et.al., A feature scale Greenwood-William son model predicting pattern-size effects in CMP, Micro-electr. Eng., 2012, 91, 159-166.10.1016/j.mee.2011.09.007Search in Google Scholar

[23] Vasilev B., Rzehak R., Bott S. et.al., Greenwood-Williamson Model Combining Pattern-Density and Pattern-Size Effects in CMP, IEEE Trans. Semicond. Mater., 2011, 24, 338-347.10.1109/TSM.2011.2107756Search in Google Scholar

[24] Lin M.H., Chang K.P., Su K.C., Wang T., Effects of width scaling and layout variation on dual damascenecopper interconnect electromigration, Microelectr. Reliab., 2007, 47, 2100-2108.10.1016/j.microrel.2006.10.004Search in Google Scholar

[25] Feng C.Y., Modeling and Simulation of Nano-Integrated Chemical Mechanical Polishing Process and Design of Manufacturability Design Technology, 2010, Fudan University.Search in Google Scholar

[26] Voinigescu S.P., Shopov S., Bateman J. et.al., Silicon Millimeter-Wave, Terahertz, and High-Speed Fiber-Optic Device and Benchmark Circuit Scaling Through the 2030 ITRS Horizon, Proc. IEEE, 2017, 105, 1087-1104.10.1109/JPROC.2017.2672721Search in Google Scholar

[27] Baklanov M.R. et.al, Advanced interconnects: materials, processing, reliability, ECS J. Solid State Sci. Technol., 2015, 4(1), Y1-Y4.10.1149/2.0271501jssSearch in Google Scholar

[28] Hsieh Y.L., Lin W.C. et.al., Effects of BEOL copper CMP process on TDDB for direct polishing ultra-low k dielectric cu interconnects at 28nm technology node and beyond, IRPS, 2013, Anaheim, CA, USA.10.1109/IRPS.2013.6532056Search in Google Scholar

[29] Gottfried K., Schubert I. et al., CMP issues arising from novel materials and concepts in the BEOL of advanced microelectronic devices, ICPT, 2007.Search in Google Scholar

[30] Veendrick H.J.M., Effects of Scaling on MOS IC Design and Consequences for the Roadmap, Nanometer CMOS ICS, 2017, 687-710.10.1007/978-1-4020-8333-4_11Search in Google Scholar

[31] Choi J., Dornfeld D.A., Chip scale topography evolution model for CMP process optimization, Int. Symp. Semicond. Manuf., 2005, 430-433.Search in Google Scholar

[32] Tamba E.G., Chip-Scale Modeling of Pattern Dependencies in Copper Chemical Mechanical Polishing Processes, 2002, MIT.Search in Google Scholar

Received: 2019-03-25
Accepted: 2019-11-05
Published Online: 2020-03-12

© 2020 G. Zhao et al., published by De Gruyter

This work is licensed under the Creative Commons Attribution 4.0 International License.

Articles in the same Issue

  1. Research Articles
  2. Generalized locally-exact homogenization theory for evaluation of electric conductivity and resistance of multiphase materials
  3. Enhancing ultra-early strength of sulphoaluminate cement-based materials by incorporating graphene oxide
  4. Characterization of mechanical properties of epoxy/nanohybrid composites by nanoindentation
  5. Graphene and CNT impact on heat transfer response of nanocomposite cylinders
  6. A facile and simple approach to synthesis and characterization of methacrylated graphene oxide nanostructured polyaniline nanocomposites
  7. Ultrasmall Fe3O4 nanoparticles induce S-phase arrest and inhibit cancer cells proliferation
  8. Effect of aging on properties and nanoscale precipitates of Cu-Ag-Cr alloy
  9. Effect of nano-strengthening on the properties and microstructure of recycled concrete
  10. Stabilizing effect of methylcellulose on the dispersion of multi-walled carbon nanotubes in cementitious composites
  11. Preparation and electromagnetic properties characterization of reduced graphene oxide/strontium hexaferrite nanocomposites
  12. Interfacial characteristics of a carbon nanotube-polyimide nanocomposite by molecular dynamics simulation
  13. Preparation and properties of 3D interconnected CNTs/Cu composites
  14. On factors affecting surface free energy of carbon black for reinforcing rubber
  15. Nano-silica modified phenolic resin film: manufacturing and properties
  16. Experimental study on photocatalytic degradation efficiency of mixed crystal nano-TiO2 concrete
  17. Halloysite nanotubes in polymer science: purification, characterization, modification and applications
  18. Cellulose hydrogel skeleton by extrusion 3D printing of solution
  19. Crack closure and flexural tensile capacity with SMA fibers randomly embedded on tensile side of mortar beams
  20. An experimental study on one-step and two-step foaming of natural rubber/silica nanocomposites
  21. Utilization of red mud for producing a high strength binder by composition optimization and nano strengthening
  22. One-pot synthesis of nano titanium dioxide in supercritical water
  23. Printability of photo-sensitive nanocomposites using two-photon polymerization
  24. In situ synthesis of expanded graphite embedded with amorphous carbon-coated aluminum particles as anode materials for lithium-ion batteries
  25. Effect of nano and micro conductive materials on conductive properties of carbon fiber reinforced concrete
  26. Tribological performance of nano-diamond composites-dispersed lubricants on commercial cylinder liner mating with CrN piston ring
  27. Supramolecular ionic polymer/carbon nanotube composite hydrogels with enhanced electromechanical performance
  28. Genetic mechanisms of deep-water massive sandstones in continental lake basins and their significance in micro–nano reservoir storage systems: A case study of the Yanchang formation in the Ordos Basin
  29. Effects of nanoparticles on engineering performance of cementitious composites reinforced with PVA fibers
  30. Band gap manipulation of viscoelastic functionally graded phononic crystal
  31. Pyrolysis kinetics and mechanical properties of poly(lactic acid)/bamboo particle biocomposites: Effect of particle size distribution
  32. Manipulating conductive network formation via 3D T-ZnO: A facile approach for a CNT-reinforced nanocomposite
  33. Microstructure and mechanical properties of WC–Ni multiphase ceramic materials with NiCl2·6H2O as a binder
  34. Effect of ball milling process on the photocatalytic performance of CdS/TiO2 composite
  35. Berberine/Ag nanoparticle embedded biomimetic calcium phosphate scaffolds for enhancing antibacterial function
  36. Effect of annealing heat treatment on microstructure and mechanical properties of nonequiatomic CoCrFeNiMo medium-entropy alloys prepared by hot isostatic pressing
  37. Corrosion behaviour of multilayer CrN coatings deposited by hybrid HIPIMS after oxidation treatment
  38. Surface hydrophobicity and oleophilicity of hierarchical metal structures fabricated using ink-based selective laser melting of micro/nanoparticles
  39. Research on bond–slip performance between pultruded glass fiber-reinforced polymer tube and nano-CaCO3 concrete
  40. Antibacterial polymer nanofiber-coated and high elastin protein-expressing BMSCs incorporated polypropylene mesh for accelerating healing of female pelvic floor dysfunction
  41. Effects of Ag contents on the microstructure and SERS performance of self-grown Ag nanoparticles/Mo–Ag alloy films
  42. A highly sensitive biosensor based on methacrylated graphene oxide-grafted polyaniline for ascorbic acid determination
  43. Arrangement structure of carbon nanofiber with excellent spectral radiation characteristics
  44. Effect of different particle sizes of nano-SiO2 on the properties and microstructure of cement paste
  45. Superior Fe x N electrocatalyst derived from 1,1′-diacetylferrocene for oxygen reduction reaction in alkaline and acidic media
  46. Facile growth of aluminum oxide thin film by chemical liquid deposition and its application in devices
  47. Liquid crystallinity and thermal properties of polyhedral oligomeric silsesquioxane/side-chain azobenzene hybrid copolymer
  48. Laboratory experiment on the nano-TiO2 photocatalytic degradation effect of road surface oil pollution
  49. Binary carbon-based additives in LiFePO4 cathode with favorable lithium storage
  50. Conversion of sub-µm calcium carbonate (calcite) particles to hollow hydroxyapatite agglomerates in K2HPO4 solutions
  51. Exact solutions of bending deflection for single-walled BNNTs based on the classical Euler–Bernoulli beam theory
  52. Effects of substrate properties and sputtering methods on self-formation of Ag particles on the Ag–Mo(Zr) alloy films
  53. Enhancing carbonation and chloride resistance of autoclaved concrete by incorporating nano-CaCO3
  54. Effect of SiO2 aerogels loading on photocatalytic degradation of nitrobenzene using composites with tetrapod-like ZnO
  55. Radiation-modified wool for adsorption of redox metals and potentially for nanoparticles
  56. Hydration activity, crystal structural, and electronic properties studies of Ba-doped dicalcium silicate
  57. Microstructure and mechanical properties of brazing joint of silver-based composite filler metal
  58. Polymer nanocomposite sunlight spectrum down-converters made by open-air PLD
  59. Cryogenic milling and formation of nanostructured machined surface of AISI 4340
  60. Braided composite stent for peripheral vascular applications
  61. Effect of cinnamon essential oil on morphological, flammability and thermal properties of nanocellulose fibre–reinforced starch biopolymer composites
  62. Study on influencing factors of photocatalytic performance of CdS/TiO2 nanocomposite concrete
  63. Improving flexural and dielectric properties of carbon fiber epoxy composite laminates reinforced with carbon nanotubes interlayer using electrospray deposition
  64. Scalable fabrication of carbon materials based silicon rubber for highly stretchable e-textile sensor
  65. Degradation modeling of poly-l-lactide acid (PLLA) bioresorbable vascular scaffold within a coronary artery
  66. Combining Zn0.76Co0.24S with S-doped graphene as high-performance anode materials for lithium- and sodium-ion batteries
  67. Synthesis of functionalized carbon nanotubes for fluorescent biosensors
  68. Effect of nano-silica slurry on engineering, X-ray, and γ-ray attenuation characteristics of steel slag high-strength heavyweight concrete
  69. Incorporation of redox-active polyimide binder into LiFePO4 cathode for high-rate electrochemical energy storage
  70. Microstructural evolution and properties of Cu–20 wt% Ag alloy wire by multi-pass continuous drawing
  71. Transparent ultraviolet-shielding composite films made from dispersing pristine zinc oxide nanoparticles in low-density polyethylene
  72. Microfluidic-assisted synthesis and modelling of monodispersed magnetic nanocomposites for biomedical applications
  73. Preparation and piezoresistivity of carbon nanotube-coated sand reinforced cement mortar
  74. Vibrational analysis of an irregular single-walled carbon nanotube incorporating initial stress effects
  75. Study of the material engineering properties of high-density poly(ethylene)/perlite nanocomposite materials
  76. Single pulse laser removal of indium tin oxide film on glass and polyethylene terephthalate by nanosecond and femtosecond laser
  77. Mechanical reinforcement with enhanced electrical and heat conduction of epoxy resin by polyaniline and graphene nanoplatelets
  78. High-efficiency method for recycling lithium from spent LiFePO4 cathode
  79. Degradable tough chitosan dressing for skin wound recovery
  80. Static and dynamic analyses of auxetic hybrid FRC/CNTRC laminated plates
  81. Review articles
  82. Carbon nanomaterials enhanced cement-based composites: advances and challenges
  83. Review on the research progress of cement-based and geopolymer materials modified by graphene and graphene oxide
  84. Review on modeling and application of chemical mechanical polishing
  85. Research on the interface properties and strengthening–toughening mechanism of nanocarbon-toughened ceramic matrix composites
  86. Advances in modelling and analysis of nano structures: a review
  87. Mechanical properties of nanomaterials: A review
  88. New generation of oxide-based nanoparticles for the applications in early cancer detection and diagnostics
  89. A review on the properties, reinforcing effects, and commercialization of nanomaterials for cement-based materials
  90. Recent development and applications of nanomaterials for cancer immunotherapy
  91. Advances in biomaterials for adipose tissue reconstruction in plastic surgery
  92. Advances of graphene- and graphene oxide-modified cementitious materials
  93. Theories for triboelectric nanogenerators: A comprehensive review
  94. Nanotechnology of diamondoids for the fabrication of nanostructured systems
  95. Material advancement in technological development for the 5G wireless communications
  96. Nanoengineering in biomedicine: Current development and future perspectives
  97. Recent advances in ocean wave energy harvesting by triboelectric nanogenerator: An overview
  98. Application of nanoscale zero-valent iron in hexavalent chromium-contaminated soil: A review
  99. Carbon nanotube–reinforced polymer composite for electromagnetic interference application: A review
  100. Functionalized layered double hydroxide applied to heavy metal ions absorption: A review
  101. A new classification method of nanotechnology for design integration in biomaterials
  102. Finite element analysis of natural fibers composites: A review
  103. Phase change materials for building construction: An overview of nano-/micro-encapsulation
  104. Recent advance in surface modification for regulating cell adhesion and behaviors
  105. Hyaluronic acid as a bioactive component for bone tissue regeneration: Fabrication, modification, properties, and biological functions
  106. Theoretical calculation of a TiO2-based photocatalyst in the field of water splitting: A review
  107. Two-photon polymerization nanolithography technology for fabrication of stimulus-responsive micro/nano-structures for biomedical applications
  108. A review of passive methods in microchannel heat sink application through advanced geometric structure and nanofluids: Current advancements and challenges
  109. Stress effect on 3D culturing of MC3T3-E1 cells on microporous bovine bone slices
  110. Progress in magnetic Fe3O4 nanomaterials in magnetic resonance imaging
  111. Synthesis of graphene: Potential carbon precursors and approaches
  112. A comprehensive review of the influences of nanoparticles as a fuel additive in an internal combustion engine (ICE)
  113. Advances in layered double hydroxide-based ternary nanocomposites for photocatalysis of contaminants in water
  114. Analysis of functionally graded carbon nanotube-reinforced composite structures: A review
  115. Application of nanomaterials in ultra-high performance concrete: A review
  116. Therapeutic strategies and potential implications of silver nanoparticles in the management of skin cancer
  117. Advanced nickel nanoparticles technology: From synthesis to applications
  118. Cobalt magnetic nanoparticles as theranostics: Conceivable or forgettable?
  119. Progress in construction of bio-inspired physico-antimicrobial surfaces
  120. From materials to devices using fused deposition modeling: A state-of-art review
  121. A review for modified Li composite anode: Principle, preparation and challenge
  122. Naturally or artificially constructed nanocellulose architectures for epoxy composites: A review
Downloaded on 26.9.2025 from https://www.degruyterbrill.com/document/doi/10.1515/ntrev-2020-0016/html?lang=en
Scroll to top button